虚拟接口结构分析与研究

虚拟接口结构分析与研究

一、虚拟接口结构分析与研究(论文文献综述)

林钊安[1](2021)在《基于自组网的安全策略研究》文中指出无线自组织网络是一种去中心化网络,其由多个ad hoc节点组成,具有部署灵活和鲁棒性强的特点。随着集成电路和Wi-Fi技术的发展,无线自组织网络逐渐成为研究热点,当前已出现一批得到普遍认可的自组网协议。常见自组网协议中,攻击者往往可以通过违反协议的方法瘫痪网络。针对自组网协议存在安全缺陷的问题,本文提出了适用于嵌入式平台的安全策略,主要工作如下:1.根据对BATMAN.adv协议的分析,结合网络的运行规则,提出了一种该协议的网络运行模型,并指出了实现攻击的方法和原理。充分考虑网络在密钥安全和密钥泄露两种条件下的安全问题,提出了网络安全模型。2.针对协议易受攻击的问题,提出一种基于邻居节点身份认证的安全策略,这一策略可以确保密钥安全条件下的自组网安全。分别结合AES、SHA-2、RSA和ECC四种加密算法,实现了四个版本的安全策略。将这些安全策略分别应用于BATMAN.adv自组网路由协议,并在嵌入式平台进行测试。经测试,结果表明安全策略的引入避免了黑洞攻击,且节点资源消耗增加不明显。3.部分节点泄露密钥将引起全网的安全风险,针对这一问题,提出了OGM包特征共识机制,为保障这一共识机制,进一步提出了基于单向序列的安全策略,而单向序列基于密码学中的固定输入长度抗碰撞哈希函数和数字签名实现。这一策略能够在密钥泄露条件下最大限度的保障自组网安全。经数学推导得出,基于不同加密算法的策略之间安全性的差异。将这一策略应用于BATMAN.adv网络并在嵌入式平台中进行测试。测试结果表明这一策略避免了黑洞攻击,且适合嵌入式平台。

葛松梅[2](2020)在《AMOLED驱动芯片中SPR算法模块的设计与验证》文中研究指明AMOLED是以红、绿、蓝三色有机自发光材料为载体,实现全彩色RGB画面显示的显示技术。理论上,随着发光材料集成度的不断提高,AMOLED显示器的分辨率就可以随之提高。然而,由于受发光材料面积,工艺成本的限制,仅仅通过增加集成度来提升分辨率的方式已经不再试用。Pentile排列作为AMOLED显示器一种新的显示屏子像素排列方式,随AMOLED显示材质的广泛应用而不断成熟,对于采用Pentile排列的显示器,每个显示单元上仅有两种材料,且材料面积较大,有效降低了工艺难度和成本。通过Pentile排列将子像素顺序重新组合排列,再结合相应的SPR(Sub-pixel rendering)算法,可以在水平和垂直方向上提高分辨率,并使得显示效果更加饱满,人眼观测更加舒适,因此结合Pentile排列的SPR算法的研究对AMOLED显示屏具有重要的应用价值。本课题基于本人所在实习公司的一款AMOLED手机屏幕驱动芯片项目,主要内容是针对驱动芯片内部系统算法流上的子像素渲染算法(Sub-pixel rendering)模块进行设计与验证研究。本文主要包括两个部分:一部分是对AMOLED手机屏幕驱动芯片内部架构的研究以及对SPR算法硬件电路模块的实现。在对Pentile显示排列技术及SPR算法基本原理学习与研究的基础上,考虑算法实现效果与硬件电路资源消耗,通过在SPR算法中增加动态调节滤波参数设计,提出并采用了一种借助周围8个子像素计算输出子像素的SPR改进算法。在对驱动芯片系统内部架构研究的基础上,提出了SPR算法模块的系统设计规划与逻辑设计规划方案。采用自顶而下的设计方法,用Verilog语言设计实现了基于SPR改进算法的硬件电路模块,完成了模块内部各个子功能模块的设计。另一部分是对完成的SPR算法电路模块进行完备的功能仿真验证,确保硬件电路设计的正确性。通过分析SPR算法模块的内部结构及模块在AMOLED驱动芯片中的外部接口与时序,基于UVM验证方法学,设计了相应的功能验证平台结构,搭建了一个结构层次分明,自动化程度高的验证平台,完成了平台中各个验证组件的设计。根据系统规格设计要求,对SPR算法模块进行验证需求分析与功能验证点提炼,编写对应的仿真测试用例。基于搭建的UVM验证平台,用VCS仿真工具对SPR算法模块进行功能仿真验证。通过仿真结果分析硬件电路设计的错误与漏洞,并用VCS仿真器进行覆盖率的自动收集。采用优化仿真测试用例的方式提高覆盖率,通过覆盖率指标判断验证工作是否完备。覆盖率结果表明,代码覆盖率达到96.22%,满足当前芯片验证技术完备性的要求。本文通过对改进的SPR算法模块的硬件电路实现与功能验证研究,所设计完成的SPR算法模块提高了子像素再现显示画面的效果,对AMOLED显示屏具有很高的实用价值。同时针对SPR算法模块搭建的UVM验证平台,具有脚本自动化程度高,可移植性强的优点,可用于其他项目或模块的验证,从而缩短芯片的研发周期。

朱晓睿[3](2020)在《基于UVM的嵌入式以太网控制器芯片验证平台的设计与实现》文中提出随着集成电路技术的不断发展和制造工艺水平的不断提高,芯片规模逐渐扩大,功能也越来越复杂。如何在一定时间内发现设计中尽可能多的漏洞,保证验证的完备性和高效性,成为IC验证领域的重点课题。同时为了满足日益增长的验证需求,验证技术与验证语言也在不断更新换代。基于System Verilog验证语言发展起来的UVM验证方法学已经成为如今验证领域的主流趋势。本文详细介绍了当前主流的UVM验证方法学的平台结构与核心思想,对UVM验证平台的核心机制、通信方式、寄存器模型以及验证组件的结构功能等多个方面进行了深入分析,充分体现了UVM验证方法学在验证平台完备性、高效性、复用性以及灵活性等方面的优势。本文以嵌入式以太网控制器芯片为验证对象,对芯片中各个模块的功能结构、工作原理、连接方式以及TCP/IP协议进行了深入研究。从嵌入式以太网控制器芯片的功能、场景、接口、异常、寄存器等维度,提取了验证仿真功能点,搭建了基于UVM的层次化的验证平台。该验证平台集成了三个子环境、计分板、寄存器模型、断言、功能覆盖率收集等组件,重点对验证平台的设计思想、各个组件的实现方式、验证机制以及通信方式进行了详细分析。接着基于该层次化的UVM验证平台编写测试用例,并结合仿真波形与仿真日志对验证结果进行分析。验证完成后,使用VCS仿真工具自动收集代码覆盖率与功能覆盖率,对不能覆盖到的仿真点进行分析与阐述。最终实现功能覆盖率达到100%,代码覆盖率大于90%的验证目标。最后对本文的工作内容进行了总结,对本文的创新性进行了分析,并对验证过程中存在的不足之处进行了阐述。实践证明,本文所设计的验证平台层次清晰、结构分明,具有高效性、完备性、灵活性以及可复用性。目前该款芯片已经成功流片,同时部分组件已经成功移植到其他项目中。

阳一涵[4](2019)在《基于UVM的ARINC429总线接口模块验证平台的设计与实现》文中研究说明ARINC429总线是全球航空电子系统中最常用的通讯总线之一,本课题所验证的ARINC429总线接口模块属于SoC上的外设接口模块,通过APB接口与CPU进行通信,根据ARINC429总线协议外接A/D和D/A电路接收差分比特流和发送差分比特流。对ARINC429总线接口模块进行高效、完备的功能验证对于保证航空安全具有重要意义,而在当前工艺制程愈发先进的背景下,SoC功能复杂度以及集成规模不断提高,传统的基于Verilog HDL的验证平台已无法满足日益增长的验证需求。本文以对SoC上的ARINC429总线接口模块进行模块级验证为背景,分析对比了当前主流验证语言和验证方法学的优劣,深入研究了当前主流的UVM验证平台结构以及UVM验证方法学的机制和优势,选择基于UVM搭建结构抽象化、自动化程度高、可重用性强的验证平台进行模块级验证。本文深入分析了ARINC429总线接口模块的结构和功能,对其各个子模块的工作原理进行了研究,在此基础上提取了验证功能点。针对功能点的验证需求,采用面向对象编程及事务级建模的思想设计了平台的子环境、参考模型、计分板、断言、功能覆盖率等组件,实现了基于UVM的ARINC429总线接口模块验证平台;平台创新性地采用了自动更新的聚合参数,在仿真过程中能实时调整平台参数,提高了验证平台的自动化程度,并避免了参考模型使用寄存器模型读取寄存器配置而导致的参考模型的非独立性。基于该验证平台,围绕验证功能点编写了定向和随机测试用例,覆盖正常和异常工作场景。在仿真完所有的测试用例后收集了代码覆盖率和功能覆盖率,分析测试用例未覆盖的点,确保验证工作的完备性。经工程实践证明,同原有Verilog HDL验证平台相比,本文所设计的UVM验证平台结构清晰,层次分明,支持实时对数据进行对比,提高了验证效率;同当前国内外通用的UVM验证平台设计方式相比,本平台在设计中采用了自动更新的聚合参数,提高了平台的自动化程度,缩短了验证周期。仿真结束后收集的代码覆盖率达到90%以上、功能覆盖率达到100%,符合预期目标。同时,平台中的部分组件已成功移植到其他工程中,具有良好的可重用性。

王璇[5](2019)在《基于UVM的FPGA中可编程逻辑块的验证》文中进行了进一步梳理现场可编程门阵列(FPGA,Field Programmable Gate Array)在集成电路领域应用广泛,采用全定制设计的FPGA有诸多优点,譬如电路功耗低、芯片面积利用合理等。随着电路设计规模达到了千万门级别,采用全定制设计FPGA的复杂度急剧增长,这大大增加了验证人员的工作量与工作难度。因此,采用一般的方法对FPGA中模块进行验证难以顾及到全定制设计和高配置性,而运用spice仿真进行功能验证又无法保证时效性,所以对于全定制电路设计的验证难度较大。本文旨在研究全定制设计FPGA中的可配置逻辑块(CLB,Configurable Logic Block)的验证实现。围绕全定制电路和高配置电路,设计了针对CLB模块的一整套验证流程,实现了CLB模块验证的完备性、准确性与时效性。本套验证流程的实现主要分为待测设计(DUT,Design Under Test)准备、验证平台设计与验证执行三个阶段。在DUT准备阶段,本文首先针对CLB电路模块进行研究,分析出CLB功能实现方式与配置方式;通过研究分析带有环路的电路,采用行为级描述对基础单元模块进行建模,完成对全定制设计的Verilog实现;进行等价性检查确认建模设计的Verilog文件与原设计的一致性进而将提取出的网表文件转化成DUT,解决了通用验证方法学对全定制电路无法验证的问题;提取功能点,设计针对此种DUT的验证方案,确定了验证的重难点并针对全定制电路的验证这一重难点提出了解决方法。在验证平台设计阶段,本文通过通用验证方法学(UVM,Universal Verification Methodology)搭建验证平台,对各个环境组件进行具体设计,尤其在driver、monitor、scoreboard等组件设计中,充分考虑CLB高配置性,运用transaction结合多个task的方式来区分各个功能的数据传输、结果比较等流程;完整打印正确比较信息和错误比较信息,直观反映来自于scoreboard和monitor的transaction信息,实现整个平台的自动化运行;设计功能覆盖率以及配置覆盖率组件,保证了验证的完备性。在验证执行阶段,本文设计定制化脚本对平台进行匹配完成了一键化运行;最后收集验证结果进行检测,确认了验证方法的正确性;依据自动对比和覆盖率组件,通过添加定向测试激励,使功能覆盖率和配置点覆盖率分别达到100%和95.31%,均符合工程要求,最终高效地完成CLB模块的验证,满足了自动化和时效性需求。在后期工程实践中通过对该平台的垂直复用实现了针对多项目晶圆(MPW,Multi Project Wafer)CLB的验证平台,极大地提升了工程效率,减少了验证人员的工作量。本文的研究结果对于实际工程中的大规模全定制电路验证提供了解决思路。

杜宝江,朱子戌[6](2019)在《基于Unity3D的过程控制虚拟化设计》文中认为本文旨在研发一套适用于能源动力过程控制实验的虚实融合实验教学系统,可进行液位、流量、温度等过程控制实验的虚实结合的实验项目,为能源动力实验教学提供虚拟现实技术与实物实验装置进行虚实融合的实验教学系统,因此也就诞生了虚实融合过程控制实验技术研究这一课题,即本课题。本文通过研究过程控制的内容,分析过程控制的组成与认知,学习了工程控制的虚拟化操作,三维软件建立模型,并最终使用unity3D良好的完成的过程控制的虚拟化设计。针对过程控制建立的虚拟化场景,通过研究过程控制实验中液位、流量、温度的实验项目进行分析,对水流过程、水箱液位变化、锅炉温度变化过程仿真。这些仿真的过程有利于实验人员、管理人员、学生等相关从业者更加清新明了的理解过程控制,并提供了可视化程度更好的过程控制解决方案。

郭星[7](2012)在《基于模块化的产品族快速配置设计技术的研究与实现》文中认为大规模定制作为一种新型的生产方式,必将成为21世纪主要的生产模式之一,其结合了定制生产和大规模生产两种生产方式的优势,在满足客户个性化需求的同时,保持较低的生产成本和较短的交货期。作为大规模定制设计的关键技术之一,产品配置设计能快速的配置出满足客户需求的个性化产品,对产品进行合理的模块划分与配置模型的建立是实现快速配置的基础,因此,对产品配置建模方法与模块划分方法的研究具有重要意义。在分析当前国内外研究现状及存在问题的基础之上,深入研究了模块化设计的关键技术:模块编码技术、模块划分技术以及模块检索技术。提出了五段模块特征编码和四段模块接口编码体系。提出了基于接口关系的模块划分方法,并运用割集对配置产品进行模块划分。研究了产品配置的基本流程、定义,并对配置设计的关键技术进行了详细的论述。在对模块化设计技术研究的基础上,提出了基于模块化的变量配置设计方法,通过需求变量与模块变量的匹配实现产品的快速配置设计。通过对产品族和产品族配置模型的分析,制定了详细的配置建模流程,并具体介绍了零件族、部件族以及产品族的建模方法,介绍了配置模型的接口设计方法,并提出了虚拟接口的概念以及建模方法。介绍了配置系统开发的环境以及开发工具,制定了配置系统的体系结构及工作流程,并对系统功能模块进行了简单的介绍。

谢克强,周华春,杨钊[8](2011)在《代理移动IPv6多接口接入分析与测试》文中提出未来移动节点必须支持多个网络接口的应用。代理移动IPv6(PMIPv6)协议可以为移动节点提供基于网络的移动性管理,不需要移动节点参与移动性管理。分析了多接口技术在PMIPv6下的应用,详述了基于虚拟接口实现多接口接入PMIPv6的方法。在实验室集成开发环境下进行了实验测试,测试表明基于虚拟接口的PMIPv6多接口接入基本实现了多家乡和异构切换功能。

段承超[9](2011)在《SoC/IP验证平台的研究与实现》文中研究指明IP复用技术在加快SoC开发进程的同时,也给SoC/IP的功能验证提出了更大的挑战。针对SoC/IP验证的复杂性,如何提高验证质量和效率成为SoC/IP开发过程中需要解决的重要现实问题。本文以自主研发的某款SoC芯片的功能验证为背景,在对SoC/IP的验证特征和验证需求进行分析的基础上,结合动态仿真技术和验证平台设计技术,以快速搭建一种可以全面提高验证质量和效率的SoC/IP验证平台为目标,对SoC/IP验证平台结构和设计实现进行了重点研究。本文提出了一种面向验证过程的层次化验证方法,制定了相应的层次化验证方案,对验证平台结构和实现、验证激励生成、验证文件管理、验证步骤设计等五个验证环节进行优化和改进,并重点设计了一种基于总线的层次化SoC/IP验证平台结构,实现验证平台的横向和纵向模块化划分,进而完成了事务级测试场景和可重用验证组件等核心模块的设计。本文完成了NFC控制器的设计,实现片上处理器对NandFlash的灵活控制。根据功能特征和协议行为分析,分别利用覆盖组和SystemVerilog断言建立功能覆盖模型和断言设计,实现覆盖率驱动验证和断言验证方法在SoC/IP验证平台中的综合应用。最后,利用面向对象编程技术,基于SystemVerilog语言完成了SoC/IP验证平台的代码实现,建立了高效的仿真验证环境。通过验证文件的层次化管理和验证脚本的应用,实现了验证过程的自动化控制。基于该验证平台实施了SoC/IP的功能验证,并对验证结果进行了性能分析。实验证明,上述验证平台具有结构灵活、模块重用、功能完整、验证过程自动化等优点,能够灵活高效地完成SoC/IP的功能验证,具有较高的实用价值。

蒋汉平[10](2008)在《面向多核网络处理器软件框架的研究与实现》文中认为多核网络处理器是指在单个封装内集成多个执行核,每个执行核是独立的处理器,具有自己的体系结构资源。它兼有高速处理和灵活编程两种能力,能很好地适应高速网络和业务演化的需要。多核网络处理器可以高速处理控制/数据平面、处理应用感知内容、检测深度包、模式匹配和加密加速,被广泛的应用于各种网络设备,包括路由器、交换机、应用认知网关、无线局域网、3G接入和聚合设备以及网络存储设备等。在这些环境中,网络进化为一个承载数据、语音和视频内容的多元混合体,不仅要具备应用传输所需的线速处理高层数据的能力,还要进行加密、认证、入侵防护和防病毒等多层安全操作以及多功能的整合。因此,面向多核网络处理器的软件框架的高性能和通用性成为多核网络处理器的核心问题。多核网络处理器软件开发的困难主要来自于其微并行结构之复杂性和网络多平面处理环境之特殊性,突出表现为:缺乏高层编程模型和开发工具,缺乏网络通信处理算法组件库,缺乏适应多平面处理环境的OS支持。因此,基于多核网络处理器的软件框架的设计与实现都变得极为复杂。近年来,虽然国内外学者做了大量的研究工作,但基于多核网络处理器架构,提供高性能、面向高层应用的研究尚处于初期阶段。本文在总结前人研究工作的基础上,深入研究并设计实现了多核网络处理器的多平面分层软件框架、内核网络协议栈模块框架、管理平面框架等关键技术;分析和实现了IP网络中若干关键安全应用的设计,并进行了充分的测试以验证其达到了高性能、通用性的设计目标;提出了一种从硬件抽象层到应用管理的完整软件框架。本文就以下几个方面进行了创新性的研究工作:(1)提出并设计了可扩展的多平面软件框架。多平面框架面向多核网络处理器,实现了三平面的融合,即数据平面、控制平面共同完成复杂高层网络应用功能的实现过程;在管理平面内,通过统一管理系统,针对多核网络处理器主要的应用环境进行多业务的管理融合。(2)研究并实现了面向数据平面和控制平面的接口设汁框架。最新的Linux内核并没有对快速路径和慢速路径进行明确的区分,本文明确提出了两种路径的划分,通过一系列的接口设计来实现多核网络处理器性能发挥和内核功能实现之间的平衡,屏蔽底层硬件细节,提高网络应用可复用能力与跨平台可移植能力。(3)研究并设计了算法组件库。在多核网络处理器的快速路径中应用基于均匀服务队列的分组调度算法,使决策时间复杂性、公平性、调度时延特性均与数据流个数无关,具有良好的可扩展性;应用遗传式自动分配算法,在网络处理器上建立流水编程任务分配模型。(4)设计了内核虚拟网络块框架,在管理平面实现了配置的模块化。将面向对象的设计方法引入内核设计中,采用“低层处理”的策略,将所有的网络操作处理模块化,尽可能在最低层把非法的数据包屏蔽掉,在最低层对内存进行释放,使整个核心数据处理流程全部工作在内核一级,把相对耗费时间的匹配过程交给了用户进程去处理。同时,在管理平面内采用面向对象的模块化设计方法,利用事件机制,对不同的高层应用提供配置、管理功能。在多核网络处理器软件框架研究和设计的基础上,本文对多种IP安全技术进行了优化实现:对snort进行了并行多线程的重新设计;对NAT/PT进行了快速路径的优化设计;并对netflow进行了数据平面虚拟网络模块框架的实现;还对IPSec在数据平面利用API隐藏硬件特定细节,提供了统一接口。通过完善的测试方案,验证了软件框架设计方案的性能和适用性。

二、虚拟接口结构分析与研究(论文开题报告)

(1)论文研究背景及目的

此处内容要求:

首先简单简介论文所研究问题的基本概念和背景,再而简单明了地指出论文所要研究解决的具体问题,并提出你的论文准备的观点或解决方法。

写法范例:

本文主要提出一款精简64位RISC处理器存储管理单元结构并详细分析其设计过程。在该MMU结构中,TLB采用叁个分离的TLB,TLB采用基于内容查找的相联存储器并行查找,支持粗粒度为64KB和细粒度为4KB两种页面大小,采用多级分层页表结构映射地址空间,并详细论述了四级页表转换过程,TLB结构组织等。该MMU结构将作为该处理器存储系统实现的一个重要组成部分。

(2)本文研究方法

调查法:该方法是有目的、有系统的搜集有关研究对象的具体信息。

观察法:用自己的感官和辅助工具直接观察研究对象从而得到有关信息。

实验法:通过主支变革、控制研究对象来发现与确认事物间的因果关系。

文献研究法:通过调查文献来获得资料,从而全面的、正确的了解掌握研究方法。

实证研究法:依据现有的科学理论和实践的需要提出设计。

定性分析法:对研究对象进行“质”的方面的研究,这个方法需要计算的数据较少。

定量分析法:通过具体的数字,使人们对研究对象的认识进一步精确化。

跨学科研究法:运用多学科的理论、方法和成果从整体上对某一课题进行研究。

功能分析法:这是社会科学用来分析社会现象的一种方法,从某一功能出发研究多个方面的影响。

模拟法:通过创设一个与原型相似的模型来间接研究原型某种特性的一种形容方法。

三、虚拟接口结构分析与研究(论文提纲范文)

(1)基于自组网的安全策略研究(论文提纲范文)

摘要
Abstract
第一章 绪论
    §1.1 研究背景
    §1.2 无线自组网安全研究现状
    §1.3 本文工作安排
第二章 无线自组网安全概述
    §2.1 常用无线自组协议
        §2.1.1 主动路由协议
        §2.1.2 反应式路由协议
        §2.1.3 混合型路由协议
    §2.2 针对无线自组网的攻击
    §2.3 常用加密方法
        §2.3.1 AES
        §2.3.2 SHA-2
        §2.3.3 RSA
        §2.3.4 椭圆曲线密码学
第三章 建立BATMAN.adv协议网络模型
    §3.1 BATMAN.adv路由协议原理
        §3.1.1 BATMAN.adv协议概述
        §3.1.2 OGM包帧结构
        §3.1.3 直接双向链路的TQ值
        §3.1.4 OGM包转发机制
        §3.1.5 网络重组
        §3.1.6 路由结构表
        §3.1.7 TVLV包
    §3.2 BATMAN.adv的网络模型
        §3.2.1 网络运行模型
        §3.2.2 含有攻击者的网络模型
        §3.2.3 加入邻居节点身份认证改善网络模型
    §3.3 网络安全模型
    §3.4 本章小结
第四章 邻居节点身份认证安全策略的实现与测试
    §4.1 邻居节点身份认证的网络安全模型
    §4.2 邻居节点身份认证的协议改进
        §4.2.1 数据结构分析
        §4.2.2 节点OGM包的处理流程
        §4.2.3 邻居节点身份认证过程
        §4.2.4 邻居节点身份认证的实现方法
    §4.3 搭建测试平台
        §4.3.1 Open Wrt操作系统
        §4.3.2 BATMAN.adv内核模块
        §4.3.3 系统配置修改
    §4.4 改进协议的性能测试
        §4.4.1 测试条件
        §4.4.2 测试结果
        §4.4.3 综合分析
    §4.5 本章小结
第五章 单向序列安全策略的实现与测试
    §5.1 基于单向序列的网络安全模型
    §5.2 网络共识机制
        §5.2.1 拜占庭容错共识机制
        §5.2.2 工作量证明共识机制
        §5.2.3 OGM包特征共识机制
    §5.3 基于单向序列保障OGM包共识机制
        §5.3.1 密码学哈希函数
        §5.3.2 基于哈希函构造单向序列
        §5.3.3 基于单向序列的TQ值数据段
    §5.4 单项序列策略的安全性分析
        §5.4.1 数字签名安全性
        §5.4.2 单向序列安全性
        §5.4.3 密钥泄露对网络的影响
        §5.4.4 安全性总结
    §5.5 单项序列策略的实现与性能测试
    §5.6 本章小结
第六章 工作总结与展望
    §6.1 工作总结
    §6.2 展望
参考文献
致谢
攻读硕士期间主要研究成果

(2)AMOLED驱动芯片中SPR算法模块的设计与验证(论文提纲范文)

摘要
ABSTRACT
缩略语对照表
第一章 绪论
    1.1 课题背景与意义
    1.2 国内外研究动态
    1.3 研究内容与章节安排
第二章 SPR算法理论与改进
    2.1 SPR技术理论基础研究
        2.1.1 带gamma校正的subpixel rendering技术
        2.1.2 Pentile Sub-pixel rendering技术
    2.2 SPR算法处理与改进研究
    2.3 本章小结
第三章 SPR算法模块逻辑电路设计
    3.1 SPR算法模块系统架构
    3.2 SPR算法模块具体硬件设计与实现
        3.2.1 寄存器配置控制模块
        3.2.2 数据缓存及控制模块
        3.2.3 动态调节滤波参数模块
        3.2.4 数据分配模块
        3.2.5 算法运算处理模块
        3.2.6 SPR_LUT_CTRL控制模块
    3.3 本章小结
第四章 SPR算法模块验证平台设计与实现
    4.1 验证技术的发展
    4.2 模块测试点分析与提炼
        4.2.1 模块外部接口及时序
        4.2.2 测试点分析与提炼
    4.3 验证平台框架与目录结构
        4.3.1 验证平台框架
        4.3.2 验证平台目录结构
    4.4 验证平台内部组件的实现
    4.5 本章小结
第五章 SPR算法模块仿真与分析
    5.0 仿真验证流程
    5.1 功能仿真结果分析
        5.1.1 算法模块显示效果验证
        5.1.2 滤波参数选择验证
        5.1.3 算法处理时序验证
        5.1.4 算法处理功能验证
        5.1.5 随机数据验证算法运算正确性
        5.1.6 寄存器读写功能测试
    5.2 覆盖率结果分析
    5.3 本章小结
第六章 总结与展望
参考文献
致谢
作者简介

(3)基于UVM的嵌入式以太网控制器芯片验证平台的设计与实现(论文提纲范文)

摘要
ABSTRACT
符号对照表
缩略语对照表
第一章 绪论
    1.1 研究背景及意义
    1.2 国内外研究现状及发展趋势
        1.2.1 验证技术的发展
        1.2.2 验证语言与验证方法学的发展
    1.3 研究内容与目标
    1.4 论文创新点
    1.5 论文的组织架构
第二章 UVM验证方法学研究
    2.1 System Verilog与 UVM验证方法学的优势
    2.2 UVM验证平台结构与组件
        2.2.1 UVM树形结构
        2.2.2 验证平台的运行
        2.2.3 UVM组件与平台框架
    2.3 UVM验证方法学机制
        2.3.1 UVM的 phase机制
        2.3.2 UVM的 sequence机制
        2.3.3 UVM的 factory机制
        2.3.4 UVM的 TLM机制
        2.3.5 UVM的register model机制
    2.4 本章小结
第三章 嵌入式以太网控制器芯片的设计模块
    3.1 嵌入式以太网控制器芯片的设计方案
    3.2 嵌入式以太网控制器芯片整体及子模块设计
        3.2.1 嵌入式以太网整体结构分析
        3.2.2 时钟电路分析
        3.2.3 TCP/IP协议栈电路设计方案
        3.2.4 以太网MAC控制器设计
        3.2.5 以太网PHY设计
    3.3 本章小结
第四章 基于UVM的验证平台设计与实现
    4.1 验证平台的思想策略
    4.2 功能点的提取与分析
    4.3 验证平台总体框架
    4.4 验证平台顶层分析
    4.5 验证平台配置模块
    4.6 虚拟序列的构建
    4.7 MCU验证环境构建
        4.7.2 MCU事务包的设计
        4.7.3 MCU序列设计
        4.7.4 MCU驱动器的设计
        4.7.5 MCU监测器的设计
        4.7.6 MCU代理器的设计
        4.7.7 MCU环境设计
    4.8 PHY验证环境构建
        4.8.2 PHY事务包的设计
        4.8.3 PHY序列的设计
        4.8.4 PHY驱动器的设计
        4.8.5 PHY监测器的设计
        4.8.6 PHY代理器的设计
        4.8.7 PHY环境设计
    4.9 RST验证环境构建
        4.9.2 RST事务包的设计
        4.9.3 RST序列的设计
        4.9.4 RST虚拟序列的设计
        4.9.5 RST驱动器的设计
        4.9.6 RST监测器的设计
        4.9.7 RST代理器的设计
    4.10 寄存器模型的设计
    4.11 计分板的设计
    4.12 功能覆盖率组件的设计
    4.13 本章小结
第五章 仿真验证及结果分析
    5.1 仿真环境的构建
    5.2 验证平台的启动流程
    5.3 测试用例及仿真结果分析
        5.3.1 TCP功能验证
        5.3.2 ICMP功能验证
        5.3.3 PHY功能验证
        5.3.4 DFT验证
    5.4 覆盖率结果与分析
        5.4.1 代码覆盖率分析
        5.4.2 功能覆盖率分析
    5.5 本章小结
第六章 总结与展望
    6.1 全文总结
    6.2 创新性分析
    6.3 未来工作展望
参考文献
致谢
作者简介

(4)基于UVM的ARINC429总线接口模块验证平台的设计与实现(论文提纲范文)

摘要
ABSTRACT
符号对照表
缩略语对照表
第一章 绪论
    1.1 研究背景及意义
    1.2 国内外研究现状及发展趋势
        1.2.1 主流验证技术
        1.2.2 验证语言和验证方法学
    1.3 课题的来源
    1.4 论文的组织结构
第二章 UVM平台结构及机制研究
    2.1 SystemVerilog验证语言特性
    2.2 UVM验证平台基本结构分析
    2.3 UVM验证方法学机制研究
        2.3.1 UVM的 phase机制
        2.3.2 UVM的 sequence机制
        2.3.3 UVM的 factory机制
        2.3.4 UVM的 TLM通信
        2.3.5 UVM的寄存器模型
    2.4 本章小结
第三章 ARINC429 总线接口模块与验证需求分析
    3.1 ARINC429 总线协议研究
    3.2 ARINC429 总线接口模块分析
        3.2.1 接口模块工作原理
        3.2.2 接口信号及寄存器组成
    3.3 子模块结构及工作原理研究
        3.3.1 APB接口模块研究
        3.3.2 发送模块研究
        3.3.3 接收模块研究
        3.3.4 采样模块研究
    3.4 验证需求分析
    3.5 本章小结
第四章 基于UVM的验证平台设计与实现
    4.1 验证目标
    4.2 验证平台总体框架设计
    4.3 APB验证环境构建
        4.3.1 APB事务包设计
        4.3.2 APB序列设计
        4.3.3 APB驱动器设计
        4.3.4 APB监测器设计
        4.3.5 APB代理设计
        4.3.6 APB环境设计
    4.4 c429 验证环境构建
        4.4.1 RX驱动器设计
        4.4.2 TX监测器设计
    4.5 RST验证环境构建
    4.6 自动对比组件实现
        4.6.1 c429 模型设计
        4.6.2 c429 计分板设计
    4.7 时序及功能检查组件实现
        4.7.1 断言组件设计
        4.7.2 功能覆盖率组件设计
    4.8 本章小结
第五章 仿真验证及结果分析
    5.1 创建仿真环境
    5.2 编写测试用例及仿真验证
        5.2.1 BIST功能验证
        5.2.2 寄存器功能验证
        5.2.3 发送功能验证
        5.2.4 接收功能验证
        5.2.5 回环功能验证
        5.2.6 滤毛刺功能验证
    5.3 覆盖率分析
        5.3.1 代码覆盖率分析
        5.3.2 功能覆盖率分析
    5.4 本章小结
第六章 总结与展望
    6.1 全文总结
    6.2 创新性分析
    6.3 未来展望
参考文献
致谢
作者简介

(5)基于UVM的FPGA中可编程逻辑块的验证(论文提纲范文)

摘要
ABSTRACT
符号对照表
缩略语对照表
第一章 绪论
    1.1 课题背景及意义
    1.2 可配置逻辑块与全定制电路验证技术发展
    1.3 本文主要目的与工作
    1.4 本文章节安排
第二章 FPGA中 CLB模块的功能与配置研究
    2.1 FPGA内部结构
    2.2 可编程逻辑块结构
    2.3 SLICEL与 SLICEM结构
    2.4 可编程逻辑块多种工作模式与功能分析
        2.4.1 逻辑函数发生器功能
        2.4.2 SLICE只读存储器功能
        2.4.3 SLICE多路选择器功能
        2.4.4 SLICE快速先行进位逻辑块功能
        2.4.5 SLICE寄存逻辑功能
        2.4.6 SLICEM分布式存储功能
        2.4.7 SLICEM移位寄存器功能
    2.5 CLB模块配置研究
        2.5.1 配置数据结构分析
        2.5.2 配置数据时序研究
    2.6 本章小结
第三章 CLB建模与验证方案设计
    3.1 验证方法的确立与网表提取
    3.2 建立功能模型与等价性检查
        3.2.1 基本电路单元的功能模型建立
        3.2.2 等价性检查
    3.3 验证计划与CLB功能点提取
    3.4 CLB验证方案
        3.4.1 验证目标和策略
        3.4.2 验证重难点与解决方案
    3.5 本章小结
第四章 验证平台框架设计与具体实现
    4.1 验证框架结构设计
    4.2 验证平台具体实现策略与开发
        4.2.1 transaction开发
        4.2.2 interface接口设计
        4.2.3 driver驱动器实现
        4.2.4 monitor监视器实现
        4.2.5 reference model参考模型实现
        4.2.6 scoreboard计分板实现
        4.2.7 virtual sequence与 virtual sequencer实现
        4.2.8 testcase测试激励实现
    4.3 覆盖率组件建模
    4.4 组件内部连接与自动化
    4.5 MPW平台的实现与平台高复用性
    4.6 本章小结
第五章 验证结果与分析
    5.1 仿真工具与编译仿真选项
    5.2 功能验证
        5.2.1 逻辑函数发生器功能验证
        5.2.2 SLICEM分布式存储功能验证
        5.2.3 SLICEM移位寄存器功能验证
        5.2.4 SLICE只读存储器功能验证
        5.2.5 SLICE多路选择器功能验证
        5.2.6 SLICE快速先行进位逻辑块功能验证
        5.2.7 SLICE寄存逻辑功能验证
    5.3 覆盖率分析
        5.3.1 功能覆盖率分析
        5.3.2 配置点覆盖率分析
    5.4 本章小结
第六章 总结与展望
    6.1 总结
    6.2 展望
参考文献
致谢
作者简介

(7)基于模块化的产品族快速配置设计技术的研究与实现(论文提纲范文)

摘要
Abstract
1 绪论
    1.1 引言
    1.2 大规模定制
        1.2.1 大规模定制的概念
        1.2.2 大规模定制的特点
        1.2.3 大规模定制的实现方法
        1.2.4 大规模定制设计技术研究现状
    1.3 模块化设计技术
        1.3.1 模块化设计概述
        1.3.2 模块化设计研究现状
    1.4 产品配置设计
        1.4.1 产品配置概述
        1.4.2 产品配置方法研究现状
        1.4.3 产品配置需要解决的问题
    1.5 研究的目的和意义
    1.6 主要研究内容
    1.7 论文组织结构
2 模块化设计
    2.1 模块划分
        2.1.1 模块划分准则
        2.1.2 基于接口约束的模块划分
        2.1.3 实例
    2.2 模块编码
    2.3 模块检索及相关算法
    2.4 本章小结
3 产品配置
    3.1 产品配置定义
    3.2 产品配置主要内容
    3.3 产品配置关键技术
        3.3.1 产品配置需求获取和表达技术
        3.3.2 产品配置约束求解技术
        3.3.3 产品配置规则表达及提取技术
    3.4 基于模块化的变量配置设计
        3.4.1 变量配置设计定义
        3.4.2 模块变量提取及匹配
        3.4.3 变量配置设计具体流程
        3.4.4 桥式起重机配置实例
    3.5 本章小结
4 基于模块化的产品族配置模型
    4.1 产品族配置模型
        4.1.1 相关概念
        4.1.2 产品族配置模型的特点
    4.2 产品族配置模型建模原则
    4.3 基于模块化的产品族配置模型的构建
        4.3.1 产品配置中产品模块分类
        4.3.2 配置建模流程
        4.3.3 产品族配置模型及部件族配置模型的构建
        4.3.4 零件族配置模型的构建
    4.4 产品配置模型接口设计
        4.4.1 接口类型、功能及特点
        4.4.2 虚拟接口
        4.4.3 虚拟接口建立
    4.5 本章小结
5 桥式起重机快速配置系统
    5.1 系统运行环境与开发工具
    5.2 系统体系结构及工作流程
    5.3 系统功能介绍
        5.3.1 系统管理模块
        5.3.2 配置管理模块
        5.3.3 参数设置模块
    5.4 本章小结
6 总结和展望
    6.1 总结
    6.2 展望
参考文献
攻读硕士学位期间发表学术论文情况
致谢

(9)SoC/IP验证平台的研究与实现(论文提纲范文)

摘要
Abstract
第一章 绪论
    1.1 课题的研究背景及意义
    1.2 研究现状
        1.2.1 验证平台的结构设计
        1.2.2 验证平台的实现方式
    1.3 课题研究内容、结构及创新点
        1.3.1 研究内容
        1.3.2 论文结构
        1.3.3 本文创新点
第二章 SoC/IP 验证需求分析与动态仿真技术研究
    2.1 SoC/IP 验证需求分析
        2.1.1 SoC 结构研究
        2.1.2 功能验证特征
        2.1.3 验证需求分析
    2.2 动态仿真技术研究
        2.2.1 动态仿真技术
        2.2.2 验证方法
    2.3 验证平台设计技术研究
    2.4 本章小结
第三章 SoC/IP 验证平台的设计实现
    3.1 层次化验证方法研究
        3.1.1 层次化验证方法
        3.1.2 层次化验证方案设计
    3.2 SoC/IP 验证平台设计
        3.2.1 验证平台结构设计
        3.2.2 工作机制设计
        3.2.3 验证工作流程
    3.3 核心模块的设计
        3.3.1 测试场景设计
        3.3.2 验证组件设计
    3.4 本章小结
第四章 面向NFC 的覆盖模型和断言设计
    4.1 NFC 控制器设计
        4.1.1 硬件架构模型
        4.1.2 关键部件设计
    4.2 覆盖模型的建立
        4.2.1 覆盖模型设计
        4.2.2 覆盖模型的连接与触发
    4.3 断言的设计实现
        4.3.1 断言简化技术
        4.3.2 断言的设计
        4.3.3 断言的实现与绑定
    4.4 本章小结
第五章 验证环境构建与应用
    5.1 验证环境构建
        5.1.1 顶层架构
        5.1.2 文件管理
        5.1.3 脚本设计
    5.2 验证实施
        5.2.1 测试集设计
        5.2.2 从验证模式
        5.2.3 主验证模式
    5.3 结果分析
        5.3.1 验证结果
        5.3.2 性能分析
    5.4 本章小结
第六章 总结与展望
    6.1 总结
    6.2 展望
参考文献
作者简历 攻读硕士学位期间完成的主要工作
致谢

(10)面向多核网络处理器软件框架的研究与实现(论文提纲范文)

摘要
Abstract
第1章 绪论
    1.1 多核网络处理器概念
    1.2 多核网络处理器的历史及发展趋势
        1.2.1 多核网络处理器的历史
        1.2.2 多核网络处理器的发展趋势
    1.3 多核网络处理器体系结构
    1.4 多核网络处理器体系结构特点
        1.4.1 并行
        1.4.2 分层存储结构
        1.4.3 协处理器
        1.4.4 PE间互联
        1.4.5 外部接口
    1.5 多核网络处理器软件框架定义
        1.5.1 多核网络处理器与软件框架的设计
        1.5.2 多核网络处理器的应用需求
        1.5.3 多核结构对软件框架的影响
    1.6 主要工作及创新
    1.7 论文结构
第2章 多核NP软件模式和系统框架设计
    2.1 多核NP软件框架的问题分析
    2.2 多核NP软件框架的需求分析和设计目标
    2.3 多核NP软件框架的结构和功能
        2.3.1 多核NP开发模式应考虑的问题
        2.3.2 多核NP开发模式的引入
        2.3.3 多核NP软件框架的结构
    2.4 小结
第3章 NP适应的网络处理算法的相关研究
    3.1 NP适应网络处理算法分类
    3.2 NP分组调度策略与实现机制
        3.2.1 队列调度算法的性能评价标准
        3.2.2 现有队列调度算法的性能比较
        3.2.3 DDR算法及其优化
        3.2.4 队列调度算法在NP上的实现
    3.3 NP流水型任务集自动分配
        3.3.1 NP任务分配模型
        3.3.2 遗传算法在NP任务分配中的实现
        3.3.3 NP遗传算法实现示例
        3.3.4 遗传算法在NP流水线软硬件设计过程中的应用
    3.4 小结
第4章 面向多核NP的OS设计扩展
    4.1 面向多核NP的OS优化
        4.1.1 内核调度与任务设计
        4.1.2 并行计算与对称多处理(SMP)的设计
        4.1.3 处理器亲和性设计
    4.2 多核NP数据包处理设计
        4.2.1 数据包处理性能评价模型
        4.2.2 数据包多核NP处理的设计实现
        4.2.3 数据包多核NP处理的测试结果
    4.3 小结
第5章 面向多核NP的数据平面设计框架
    5.1 多核NP的数据平面软件设计框架
        5.1.1 控制平面
        5.1.2 数据平面
    5.2 软件设计框架的基本功能
        5.2.1 控制平面和数据平面的功能划分
        5.2.2 快速路径与慢速路径的区分
        5.2.3 快速路径处理过程的设计
        5.2.4 慢速路径处理过程的设计
    5.3 快速路径虚拟接口设计
        5.3.1 快速路径虚拟接口(FPVI)定义
        5.3.2 FPVI例外定义
        5.3.3 FPVI基本例外处理示例
        5.3.4 FPVI复制例外处理示例
        5.3.5 FPVI本地发送例外处理示例
    5.4 快速路径与缓冲管理器接口(FPC)
        5.4.1 NetLink套接字接口
        5.4.2 FPC消息机制
        5.4.3 FPC的运行机制
    5.5 快速路径统计接口(FPS)
    5.6 多核NP路由器的多平面软件框架设计
    5.7 软件框架的性能测试
        5.7.1 高性能多核平台的选择
        5.7.2 控制平面与数据平面性能测试
        5.7.3 快速路径和慢速路径性能测试
    5.8 快速路径与慢速路径设计实例
        5.8.1 NAT-PT基本概念
        5.8.2 NAT-PT工作原理
        5.8.3 NAT-PT慢速路径设计
        5.8.4 NAT-PT快速路径设计
    5.9 小结
第6章 内核网络协议栈设计框架
    6.1 内核网络模块设计
        6.1.1 虚拟网络块(VNB)的技术基础
        6.1.2 VNB框架的构成
        6.1.3 VNB框架的数据流及接口设计
        6.1.4 虚拟网络块框架的核心模块
        6.1.5 虚拟网络块框架的网络应用
        6.1.6 VNB框架的构建过程
    6.2 VNB框架构建NETFLOW应用设计实例
    6.3 小结
第7章 管理平面设计框架
    7.1 管理平面设计
        7.1.1 网络应用系统管理平面基本概念
        7.1.2 统一管理系统的基本概念
        7.1.3 统一管理系统接口设计
    7.2 小结
第8章 基于多核处理器的IPSec的实现
    8.1 TPSec的技术基础
        8.1.1 IPSec安全体系结构
        8.1.2 IPSec的内核网络实现
        8.1.3 IPSec的包处理设计
    8.2 IPSec基于多核的架构设计
        8.2.1 TPSec控制平面与数据平面的设计实现
        8.2.2 IPSec快速路径的接口设计实现
        8.2.3 IPSec快速路径的数据流设计实现
    8.3 多核IPSec的性能测试
        8.3.1 IPSec性能测试方案
        8.3.2 IPSec双平面方案性能测试结果
        8.3.3 IPSec快速路径方案性能测试结果
    8.4 小结
第9章 总结与展望
    9.1 主要工作总结
    9.2 多核网络处理器应用的展望
参考文献
致谢
攻读博士学位期间发表的论文
攻读博士学位期间申请的发明专利
攻读博士学位期间参加科研项目情况
攻读博士学位期间完成的标准工作

四、虚拟接口结构分析与研究(论文参考文献)

  • [1]基于自组网的安全策略研究[D]. 林钊安. 桂林电子科技大学, 2021(02)
  • [2]AMOLED驱动芯片中SPR算法模块的设计与验证[D]. 葛松梅. 西安电子科技大学, 2020(05)
  • [3]基于UVM的嵌入式以太网控制器芯片验证平台的设计与实现[D]. 朱晓睿. 西安电子科技大学, 2020(05)
  • [4]基于UVM的ARINC429总线接口模块验证平台的设计与实现[D]. 阳一涵. 西安电子科技大学, 2019(02)
  • [5]基于UVM的FPGA中可编程逻辑块的验证[D]. 王璇. 西安电子科技大学, 2019(02)
  • [6]基于Unity3D的过程控制虚拟化设计[J]. 杜宝江,朱子戌. 中国水运(下半月), 2019(01)
  • [7]基于模块化的产品族快速配置设计技术的研究与实现[D]. 郭星. 中北大学, 2012(08)
  • [8]代理移动IPv6多接口接入分析与测试[J]. 谢克强,周华春,杨钊. 计算机工程与应用, 2011(23)
  • [9]SoC/IP验证平台的研究与实现[D]. 段承超. 解放军信息工程大学, 2011(07)
  • [10]面向多核网络处理器软件框架的研究与实现[D]. 蒋汉平. 武汉理工大学, 2008(12)

标签:;  ;  ;  ;  ;  

虚拟接口结构分析与研究
下载Doc文档

猜你喜欢